正确答案:A
正确答案:A
试卷总分:100 得分:100
一、单选题 (共 20 道试题,共 100 分)
1.EDA设计流程包括( )、设计输入、设计处理和器件编程四个步骤。
正确答案:A
A.设计准备
B.总体设计
C.详细设计
D.设计数据
正确答案:C
2.请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
正确答案:C
A.:=
B.<=
C.==
D.=
正确答案:B
3.下面关于信号和变量的比较,错误的是( )。
A.信号赋值可以有延迟时间
B.变量赋值无时间延迟
C.变量可以看作硬件的一根连线
D.进程对信号敏感
正确答案:C
4.基于下面技术的PLD器件中允许编程次数最多的是( )。
正确答案:D
A.FLASH
B.EEPROM
C.PROM
D.SRAM
正确答案:C
5.MAX+PLUS的文本文件类型是(后缀名)是
A.*.scf
B.*.vhd
C.*.gdf
正确答案:A
D.*.sof
正确答案:D
6.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(7 downto 5)=_____________。
正确答案:A
A.’010
B.‘001
C.‘011
D.’100
正确答案:A
7.一个完整结构的结构体由哪两个基本层次组出
A.数据说明和进程
B.结构体说明和结构体功能描述
C.顺序描述语句和并行执行语句
D.结构体例化和结构体赋值
正确答案:A
8.文本输入方式是指采用( )进行电路设计的方式。
A.C
B.硬件描述语言
C.C++
D.JAVA
正确答案:C
9.字符串型文字O“1234”的长度为___________。
正确答案:A
A.12
B.4
C.8
D.16
正确答案:D
10.EDA的设计验证包括( )、时序仿真和器件测试三个过程。
正确答案:C
A.形式仿真
B.数值仿真
C.功能仿真
D.行为仿真
正确答案:C
11.值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
正确答案:B
A.1100
B.1101
C.1110
D.1000
正确答案:B
12.在verilog语言中,a=4b'1011,那么^a=
A.4b'1011
B.4b'1111
C.1b'1
D.1b'0
正确答案:C
13.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,( )是错误的。
正确答案:A
A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件
正确答案:B
B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束
C.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的
D.综合是纯软件的转换过程,与器件硬件结构无关
正确答案:C
14.在VHDL中,可以用( )表示数据或地址总线的名称。
正确答案:D
A.下标名
B.段名
C.总线名
D.字符串
正确答案:A
15.VHDL常用的库是( )标准库。
正确答案:C
A.IEEE
B.STD
C.WORK
正确答案:B
D.PACKAGE
正确答案:A
16.在VHDL中,用语句( )表示检测clock的下降沿。
正确答案:A
A.clock'EVENT
B.clock'EVENT AND clock='2'
正确答案:A
C.Clok='1'
D.clock'EVENT AND clock='1'
正确答案:B
17.在VHDL语言中,下列对时钟边沿检测描述中,错误的是
正确答案:B
A.if clk’event and clk = ‘1’ then
正确答案:B
B.if falling_edge(clk) then
正确答案:D
C.if clk’event and clk = ‘0’ then
正确答案:B
D.if clk’stable and not clk = ‘1’ then
正确答案:A
21.下面哪种语句不是并行语句
A.wait语句
B.process语句
C.块语句
D.生成语句
正确答案:A
19.假设变量初值为:a=2,b=4,则以下程序执行后,a和b的值分别为architecture rtl of example is begin process variable a ,b:std_logic; begin a := b; b := a; end process; end rtl;
正确答案:C
A.2,2
B.2,4
C.4,2
D.4,4
正确答案:D
20.一个完整的VHDL程序,至少应包括三个基本组成部分是
正确答案:D
A.实体、子程序、配置
B.实体、结构体、配置、函数
C.结构体、状态机、程序包和库
D.实体、结构体、程序包和库
正确答案:D
电科22春《EDA技术》课程在线作业3[答案]历年参考题目如下:
《EDA技术》期末考试A卷
姓名: 专业:
学号: 学习中心:
成绩:
第一题:填空题(每题3分,共30分,)
EDA技术的发展分为 、 和___________三个阶段。
EDA的设计输入主要包括 、 、 。
当前最流行的并成为IEEE标准的硬件描述语言包括: _____和 。
有三种端口类型,分别是 、___ _和 。
输入和双向端口不能声明为 型。
在常量表达示中,二进制是用 字母表示,八进制是用 字母表示,十六进制是用 字母表示。
宽度为1位的变量称为 ,如果在变量声明中没有指定位宽,则默认为 。线宽大于1位的变量(包括net型和variable型)称为 。
表达式:8`h55&&8`haa 的值为 ,表达式:8`h55 & 8`haa的值为多少 。
9.语句 out=sel?inl:in0; 表示的意义是: 。10.语句{3{a,b}} 表示的意义是: 。
第二题:简答题(每题5分,共20分)
什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?
基于FPGA/CPLD的数字系统没计流程包括哪些步骤?
说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?
阻塞赋值和非阻塞赋值有什么本质的区别?
第三题:程序分析题(每题15分,共30分)分析程序并画出逻辑电路图及逻辑表达式:
module AOI(A,B,C,D,F);input A,B,C,D;
output F;
wire A,B,C,D,F;
assign F=~((A&B) | (~(C&D)));
endmodule
详细分析下面程序功能:
module count(out,data, load, reset,clk) ;input load,clk,reset;
input[7:0] data;
output[7:0] out;
reg[7:0] out;
always @ (posedge clk)
begin
if ( !reset) out<=8'h00 ;
else if (load) out<=data;
else out<=out+1 ;
end
endmodule
第四题:设计题(每题20分,共20分,请在主观题区答题)
用Verilog HDL设计一个74138的译码器电路。
[奥鹏]电科22春《EDA技术》课程在线作业3[答案]相关练习题:
WINDOWS回收站中的文件不占有硬盘空间。
水文资料的“三性”审查是指对资料的( )
下面关于社会关系的论述正确的是( )
It takes ____________ of six months for a new type of computers to be produced.
并联管道中各支管的单位机械能损失相同,因而各支管水流的总机械能也应相等。
公文的主题是公文制发者所要表达的()。
多媒体含义是指融合两种或者两种以上媒体的一种人机交互式的信息交流和传播媒体。是指集文本、图形、( )为一体,并提供信息交互的综合媒体形式。
区别产品成本计算方法的最主要标志是()
陈某趁珠宝店柜台的售货员接待其他顾客时,伸手在柜台上拿了一个价值4000元的戒子(其他顾客挑选后放在柜台上的)握在手中,正准备离开,售货员发现放在柜台上的戒子不见了,便立即报告了保安。陈某见状,速将戒子扔回柜台上逃离。关于本案,说法正确的是( )
变量的指针,其含义是指该变量的()
离子Pb2+, Ag+, Be2+的电子构型依次是
只要知道作用在质点上的力,那么质点在任一瞬间的运动状态就完全确定了。()、
房屋权属登记的种类有( )。
豚鼠的妊娠期平均是
与订货生产型比较,属于补充存货性生产类型的特点主要有( )。
哪些情况需要进行治疗药物监测?
参加风险评估的人员中没有( )
ETF的主要特点不包括( )。
碳钢在冷变形过程中会产生( )
旋复花宜 :
下列关于网络搜索技巧,表述正确的是( )